Re: Domain Validation with aic7xxx.c scsi driver

From: Doug Ledford (dledford@redhat.com)
Date: Sat Jan 22 2000 - 11:10:40 EST


Alex Buell wrote:

> After looking through the aic7xxx.c file in linux/drivers/scsi, I've
> produced a little patch:
>
> --- /usr/src/linux/drivers/scsi/aic7xxx.c.orig Thu Jan 20 11:23:18 2000
> +++ /usr/src/linux/drivers/scsi/aic7xxx.c Thu Jan 20 11:23:36 2000
> @@ -1410,8 +1410,7 @@
> #define VERBOSE_RESET_FIND 0x2000
> #define VERBOSE_RESET_PROCESS 0x4000
> #define VERBOSE_RESET_RETURN 0x8000
> -static int aic7xxx_verbose = VERBOSE_NORMAL | VERBOSE_NEGOTIATION |
> - VERBOSE_PROBE; /* verbose messages */
> +static int aic7xxx_verbose = VERBOSE_NORMAL | VERBOSE_PROBE; /* verbose
> messages */
>
>
>
> /***********************************************************************
> *****

That isn't the preferred way of fixing that problem. The correct patch to use
is attached.

-- 
  Doug Ledford   <dledford@redhat.com>
   Opinions expressed are my own, but
      they should be everybody's.

--- aic7xxx.c.save Sat Jan 22 10:59:19 2000 +++ aic7xxx.c Sat Jan 22 10:59:24 2000 @@ -10393,7 +10393,7 @@ * instead of slowing down if those exist. That's hard to do with simple * checksums though. */ - if(aic7xxx_verbose & VERBOSE_NEGOTIATION) + if(aic7xxx_verbose & VERBOSE_NEGOTIATION2) { printk(INFO_LEAD "reducing SCSI transfer speed due to Domain " "validation failure.\n", p->host_no, CTL_OF_CMD(cmd)); @@ -10424,7 +10424,7 @@ } else { - if(aic7xxx_verbose & VERBOSE_NEGOTIATION) + if(aic7xxx_verbose & VERBOSE_NEGOTIATION2) { printk(INFO_LEAD "Performing Domain validation.\n", p->host_no, CTL_OF_CMD(cmd)); @@ -10449,7 +10449,7 @@ } else { - if( (aic7xxx_verbose & VERBOSE_NEGOTIATION) && + if( (aic7xxx_verbose & VERBOSE_NEGOTIATION2) && (p->needdv & (1<<tindex)) ) { printk(INFO_LEAD "Successfully completed Domain validation.\n",

- To unsubscribe from this list: send the line "unsubscribe linux-kernel" in the body of a message to majordomo@vger.rutgers.edu Please read the FAQ at http://www.tux.org/lkml/



This archive was generated by hypermail 2b29 : Sun Jan 23 2000 - 21:00:28 EST